summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages/nono_const.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/packages/nono_const.vhd')
-rw-r--r--2004/n/fpga/src/packages/nono_const.vhd3
1 files changed, 3 insertions, 0 deletions
diff --git a/2004/n/fpga/src/packages/nono_const.vhd b/2004/n/fpga/src/packages/nono_const.vhd
index 495705f..b9c57b2 100644
--- a/2004/n/fpga/src/packages/nono_const.vhd
+++ b/2004/n/fpga/src/packages/nono_const.vhd
@@ -48,6 +48,9 @@ package nono_const is
-- constant FREQ_CLK : T_OCTET := x"28"; -- 40M
constant FREQ_CLK : T_OCTET := x"64"; -- 100M
+ -- Nb de période d'horloge à 40MHz (T = 25ns) pour
+ -- avoir 200ns : 8 : x"08".
+ constant CYCLE_CLK200NS : T_OCTET := x"08"; -- 100M
-- Les différentes banques d'adresses (4 x 256)
-- Rq pour Pierre : ça va influer sur le bloc de gestion du bus