summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/modele/nono_const.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/modele/nono_const.vhd')
-rw-r--r--2004/n/fpga/src/modele/nono_const.vhd6
1 files changed, 4 insertions, 2 deletions
diff --git a/2004/n/fpga/src/modele/nono_const.vhd b/2004/n/fpga/src/modele/nono_const.vhd
index 5ad355b..5c2e04a 100644
--- a/2004/n/fpga/src/modele/nono_const.vhd
+++ b/2004/n/fpga/src/modele/nono_const.vhd
@@ -10,11 +10,13 @@
library ieee;
use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
package nono_const is
-- Temps d'une période d'horloge
- constant CK_PERIOD : time := 20 ns;
+ constant CK_PERIOD : time := 10 ns;
-- Taille d'une addresse sur la carte
-- RQ : 10 = nb suffisant
@@ -25,7 +27,7 @@ package nono_const is
constant NB_BIT_DATA : integer := 8;
-- Définition d'un nouveau type : ADDRESS
- subtype T_ADDRESS is std_logic_vector ((NB_BIT_ADDRESS - 1) downto 0);
+ subtype T_ADDRESS is unsigned ((NB_BIT_ADDRESS - 1) downto 0);
-- Les différentes banques d'adresses (4 x 256)
-- Rq pour Pierre : ça va influer sur le bloc de gestion du bus