summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/modele/nono_const.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/modele/nono_const.vhd')
-rw-r--r--2004/n/fpga/src/modele/nono_const.vhd8
1 files changed, 6 insertions, 2 deletions
diff --git a/2004/n/fpga/src/modele/nono_const.vhd b/2004/n/fpga/src/modele/nono_const.vhd
index 82ad0d5..f784cb4 100644
--- a/2004/n/fpga/src/modele/nono_const.vhd
+++ b/2004/n/fpga/src/modele/nono_const.vhd
@@ -12,7 +12,8 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-
+
+use work.isa_const.all;
-- Constantes relatives
package nono_const is
@@ -22,9 +23,12 @@ package nono_const is
constant CK_PERIOD : time := 30 ns;
-- diviseur pour le clockgene des ports série.
+ -- Pour 1.8432MHz, avec 1 de diviseur, on a 0%
+ constant DIVIS_CK_SERIAL :integer := 1;
+
-- Pour 33,33MHz, et avec 18 de diviseur, on obtient
-- une erreur sur le baudrate de 0.46%
- constant DIVIS_CK_SERIAL :integer := 18;
+
-- Taille d'une addresse sur la carte
-- RQ : 10 = nb suffisant