summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/reg_rw.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/gpio/reg_rw.vhd')
-rw-r--r--2004/n/fpga/src/gpio/reg_rw.vhd10
1 files changed, 6 insertions, 4 deletions
diff --git a/2004/n/fpga/src/gpio/reg_rw.vhd b/2004/n/fpga/src/gpio/reg_rw.vhd
index 7350e76..b93121f 100644
--- a/2004/n/fpga/src/gpio/reg_rw.vhd
+++ b/2004/n/fpga/src/gpio/reg_rw.vhd
@@ -3,12 +3,16 @@
-- Auteur : Pierre-André Galmes
-- Registre dont la valeur est accessible en lecture.
+-- Principe :
+-- Si (write et enable) alors sauvegarde entrée et copie entrée sur sortie.
+-- Si (read et enable) alors copie dernière valeur sauvegardée sur entrée.
+-- Si (pas enable) alors copie dernière valeur sauvegardée sur sortie.
+
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-
use work.isa_const.all;
use work.nono_const.all;
@@ -16,9 +20,7 @@ use work.nono_const.all;
entity reg_rw is
port (
rst : in std_logic;
-
- -- XXX : savoir si read = 0 ou 1 !!
- rw : in std_logic; -- read (0) / write (1)
+ rw : in std_logic; -- read (ISA_READ) / write (ISA_WRITE)
enable : in std_logic;
data_in : inout T_DATA;
data_out : out T_DATA