summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/decodisa/decodeur4.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/decodisa/decodeur4.vhd')
-rw-r--r--2004/n/fpga/src/decodisa/decodeur4.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/2004/n/fpga/src/decodisa/decodeur4.vhd b/2004/n/fpga/src/decodisa/decodeur4.vhd
index 6ecb12e..66b507c 100644
--- a/2004/n/fpga/src/decodisa/decodeur4.vhd
+++ b/2004/n/fpga/src/decodisa/decodeur4.vhd
@@ -14,7 +14,7 @@ use ieee.std_logic_1164.all;
use work.nono_const.all;
-entity decodeur is
+entity decodeur4 is
generic (
-- adresses des différents registres du module.
A_REG0 : T_ADDRESS;
@@ -34,7 +34,7 @@ entity decodeur is
);
end entity;
-architecture RTL of decodeur is
+architecture RTL of decodeur4 is
begin
-- process (clk)