summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/adaptisa/adaptisa.sws
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/adaptisa/adaptisa.sws')
-rw-r--r--2004/n/fpga/src/adaptisa/adaptisa.sws157
1 files changed, 157 insertions, 0 deletions
diff --git a/2004/n/fpga/src/adaptisa/adaptisa.sws b/2004/n/fpga/src/adaptisa/adaptisa.sws
new file mode 100644
index 0000000..c75360b
--- /dev/null
+++ b/2004/n/fpga/src/adaptisa/adaptisa.sws
@@ -0,0 +1,157 @@
+#
+# This file has been automatically generated by the Symphony EDA IDE
+# Please do NOT modify this file manually. If you do, do it with
+# extreme care. This file represents your project settings. Corrupting
+# this file can render this file useless!!!
+#
+# Begin version identification section
+[version]
+ version = 1
+[]
+# Begin libraries section (used by all tools)
+[libraries]
+ ieee = $SYMPHONYEDA/lib/ieee/ieee.sym
+ adaptisa = adaptisa.sym
+[]
+# End of libraries section
+ [options]
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 0
+ invert = 0
+ []
+ [stringoption]
+ name = -breakon
+ value = FAILURE
+ []
+ [stringoption]
+ name = -stdin
+ value = ""
+ []
+ [stringoption]
+ name = -stdout
+ value = ""
+ []
+ [stringoption]
+ name = -coverage
+ value = ""
+ []
+ [multistringoption]
+ name = -nowarn
+ []
+ [multistringoption]
+ name = -noaccel
+ []
+ [multistringoption]
+ name = -sdftyp
+ []
+ [multistringoption]
+ name = -sdfmin
+ []
+ [multistringoption]
+ name = -sdfmax
+ []
+ []
+# Begin description of library ieee
+[library]
+ name = ieee
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library ieee
+# Begin description of library adaptisa
+[library]
+ name = adaptisa
+ toplevel = bch_modele
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+ [file]
+ name = ../modele/isa_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../modele/nono_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = adaptisa.vhd
+ [options]
+ []
+ []
+ [file]
+ name = bch_adaptisa.vhd
+ [options]
+ []
+ []
+[]
+# End description of library adaptisa
+# Begin various workspace properties
+[properties]
+ work =adaptisa
+[]
+# End workspace properties