summaryrefslogtreecommitdiff
path: root/2004/n/fpga/doc/dcd/portserie/portserie.tex
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/doc/dcd/portserie/portserie.tex')
-rw-r--r--2004/n/fpga/doc/dcd/portserie/portserie.tex2
1 files changed, 1 insertions, 1 deletions
diff --git a/2004/n/fpga/doc/dcd/portserie/portserie.tex b/2004/n/fpga/doc/dcd/portserie/portserie.tex
index 72c7936..453219f 100644
--- a/2004/n/fpga/doc/dcd/portserie/portserie.tex
+++ b/2004/n/fpga/doc/dcd/portserie/portserie.tex
@@ -360,7 +360,7 @@ de la fifo pour la vider.
-\subsubsection{Interfaçage vhdl}
+\subsubsection{Interfacage vhdl}
Voici le code vhdl de l'entité rxserie :
\begin{verbatim}