summaryrefslogtreecommitdiff
path: root/2004
diff options
context:
space:
mode:
authorprot2004-02-24 20:33:14 +0000
committerprot2004-02-24 20:33:14 +0000
commitd027b0b268166112e1fbcff3e23bad599519413d (patch)
treefea446e0f4f6df3502baa7ee711a96674c4c9e3a /2004
parentb980919e56f77b8f7b02f7eb94b17398516be96c (diff)
workspace
Diffstat (limited to '2004')
-rw-r--r--2004/n/fpga/src/registre/registre.sws157
1 files changed, 157 insertions, 0 deletions
diff --git a/2004/n/fpga/src/registre/registre.sws b/2004/n/fpga/src/registre/registre.sws
new file mode 100644
index 0000000..42050e1
--- /dev/null
+++ b/2004/n/fpga/src/registre/registre.sws
@@ -0,0 +1,157 @@
+#
+# This file has been automatically generated by the Symphony EDA IDE
+# Please do NOT modify this file manually. If you do, do it with
+# extreme care. This file represents your project settings. Corrupting
+# this file can render this file useless!!!
+#
+# Begin version identification section
+[version]
+ version = 1
+[]
+# Begin libraries section (used by all tools)
+[libraries]
+ ieee = $SYMPHONYEDA/lib/ieee/ieee.sym
+ registre = registre.sym
+[]
+# End of libraries section
+ [options]
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 0
+ invert = 0
+ []
+ [stringoption]
+ name = -breakon
+ value = FAILURE
+ []
+ [stringoption]
+ name = -stdin
+ value = ""
+ []
+ [stringoption]
+ name = -stdout
+ value = ""
+ []
+ [stringoption]
+ name = -coverage
+ value = ""
+ []
+ [multistringoption]
+ name = -nowarn
+ []
+ [multistringoption]
+ name = -noaccel
+ []
+ [multistringoption]
+ name = -sdftyp
+ []
+ [multistringoption]
+ name = -sdfmin
+ []
+ [multistringoption]
+ name = -sdfmax
+ []
+ []
+# Begin description of library ieee
+[library]
+ name = ieee
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library ieee
+# Begin description of library registre
+[library]
+ name = registre
+ toplevel = testreg
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+ [file]
+ name = ../modele/isa_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../modele/nono_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = registre.vhd
+ [options]
+ []
+ []
+ [file]
+ name = test_reg.vhd
+ [options]
+ []
+ []
+[]
+# End description of library registre
+# Begin various workspace properties
+[properties]
+ work =registre
+[]
+# End workspace properties