summaryrefslogtreecommitdiff
path: root/2004
diff options
context:
space:
mode:
authorprot2004-02-24 15:34:43 +0000
committerprot2004-02-24 15:34:43 +0000
commitc304a3ac51da3eb8d9eb60bc9391b5316759563a (patch)
treeada87ed588cebe72fa85ff9e3626a82b4022434d /2004
parent1287e98de906f1f6a15f2cdc5762bba729a9dd81 (diff)
Les workspaces de sonata
Diffstat (limited to '2004')
-rw-r--r--2004/n/fpga/src/portserie/portserie.sws181
-rw-r--r--2004/n/fpga/src/portserie/reg.sws158
-rw-r--r--2004/n/fpga/src/portserie/test.sws136
3 files changed, 475 insertions, 0 deletions
diff --git a/2004/n/fpga/src/portserie/portserie.sws b/2004/n/fpga/src/portserie/portserie.sws
new file mode 100644
index 0000000..5b35ed3
--- /dev/null
+++ b/2004/n/fpga/src/portserie/portserie.sws
@@ -0,0 +1,181 @@
+#
+# This file has been automatically generated by the Symphony EDA IDE
+# Please do NOT modify this file manually. If you do, do it with
+# extreme care. This file represents your project settings. Corrupting
+# this file can render this file useless!!!
+#
+# Begin version identification section
+[version]
+ version = 1
+[]
+# Begin libraries section (used by all tools)
+[libraries]
+ ieee = $SYMPHONYEDA/lib/ieee/ieee.sym
+ portserie = portserie.sym
+[]
+# End of libraries section
+ [options]
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 0
+ invert = 0
+ []
+ [stringoption]
+ name = -breakon
+ value = FAILURE
+ []
+ [stringoption]
+ name = -stdin
+ value = ""
+ []
+ [stringoption]
+ name = -stdout
+ value = ""
+ []
+ [stringoption]
+ name = -coverage
+ value = ""
+ []
+ [multistringoption]
+ name = -nowarn
+ []
+ [multistringoption]
+ name = -noaccel
+ []
+ [multistringoption]
+ name = -sdftyp
+ []
+ [multistringoption]
+ name = -sdfmin
+ []
+ [multistringoption]
+ name = -sdfmax
+ []
+ []
+# Begin description of library ieee
+[library]
+ name = ieee
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library ieee
+# Begin description of library portserie
+[library]
+ name = portserie
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+ [file]
+ name = nono_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../registre/registre.vhd
+ [options]
+ []
+ []
+ [file]
+ name = clockgene.vhd
+ [options]
+ []
+ []
+ [file]
+ name = decoder.vhd
+ [options]
+ []
+ []
+ [file]
+ name = fifodriver.vhd
+ [options]
+ []
+ []
+ [file]
+ name = fifo/fifoctlr_cc.vhd
+ [options]
+ []
+ []
+ [file]
+ name = fifo.vhd
+ [options]
+ []
+ []
+ [file]
+ name = isa_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = txserie.vhd
+ [options]
+ []
+ []
+[]
+# End description of library portserie
+# Begin various workspace properties
+[properties]
+ work =portserie
+[]
+# End workspace properties
diff --git a/2004/n/fpga/src/portserie/reg.sws b/2004/n/fpga/src/portserie/reg.sws
new file mode 100644
index 0000000..8d94e37
--- /dev/null
+++ b/2004/n/fpga/src/portserie/reg.sws
@@ -0,0 +1,158 @@
+#
+# This file has been automatically generated by the Symphony EDA IDE
+# Please do NOT modify this file manually. If you do, do it with
+# extreme care. This file represents your project settings. Corrupting
+# this file can render this file useless!!!
+#
+# Begin version identification section
+[version]
+ version = 1
+[]
+# Begin libraries section (used by all tools)
+[libraries]
+ ieee = $SYMPHONYEDA/lib/ieee/ieee.sym
+ reg = reg.sym
+[]
+# End of libraries section
+ [options]
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 0
+ invert = 0
+ []
+ [stringoption]
+ name = -breakon
+ value = FAILURE
+ []
+ [stringoption]
+ name = -stdin
+ value = ""
+ []
+ [stringoption]
+ name = -stdout
+ value = ""
+ []
+ [stringoption]
+ name = -coverage
+ value = ""
+ []
+ [multistringoption]
+ name = -nowarn
+ []
+ [multistringoption]
+ name = -noaccel
+ value = 1164
+ []
+ [multistringoption]
+ name = -sdftyp
+ []
+ [multistringoption]
+ name = -sdfmin
+ []
+ [multistringoption]
+ name = -sdfmax
+ []
+ []
+# Begin description of library ieee
+[library]
+ name = ieee
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library ieee
+# Begin description of library reg
+[library]
+ name = reg
+ toplevel = testreg(sim1)
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+ [file]
+ name = isa_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = nono_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = registre.vhd
+ [options]
+ []
+ []
+ [file]
+ name = test_reg.vhd
+ [options]
+ []
+ []
+[]
+# End description of library reg
+# Begin various workspace properties
+[properties]
+ work =reg
+[]
+# End workspace properties
diff --git a/2004/n/fpga/src/portserie/test.sws b/2004/n/fpga/src/portserie/test.sws
new file mode 100644
index 0000000..03e4ba0
--- /dev/null
+++ b/2004/n/fpga/src/portserie/test.sws
@@ -0,0 +1,136 @@
+#
+# This file has been automatically generated by the Symphony EDA IDE
+# Please do NOT modify this file manually. If you do, do it with
+# extreme care. This file represents your project settings. Corrupting
+# this file can render this file useless!!!
+#
+# Begin version identification section
+[version]
+ version = 1
+[]
+# Begin libraries section (used by all tools)
+[libraries]
+ ieee = $SYMPHONYEDA/lib/ieee/ieee.sym
+ test = test.sym
+[]
+# End of libraries section
+ [options]
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 0
+ invert = 0
+ []
+ [stringoption]
+ name = -breakon
+ value = FAILURE
+ []
+ [stringoption]
+ name = -stdin
+ value = ""
+ []
+ [stringoption]
+ name = -stdout
+ value = ""
+ []
+ [stringoption]
+ name = -coverage
+ value = ""
+ []
+ [multistringoption]
+ name = -nowarn
+ []
+ [multistringoption]
+ name = -noaccel
+ []
+ [multistringoption]
+ name = -sdftyp
+ []
+ [multistringoption]
+ name = -sdfmin
+ []
+ [multistringoption]
+ name = -sdfmax
+ []
+ []
+# Begin description of library ieee
+[library]
+ name = ieee
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library ieee
+# Begin description of library test
+[library]
+ name = test
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library test
+# Begin various workspace properties
+[properties]
+ work =test
+[]
+# End workspace properties