summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/pwm/pwm_generator.vhd
diff options
context:
space:
mode:
authorgalmes2004-04-28 19:04:02 +0000
committergalmes2004-04-28 19:04:02 +0000
commit7bd9395bfaaa844fcab0f45988eb95b9eec9c817 (patch)
tree5798af0ffaf42b3d2aab1d90a1aad610e15d81d5 /2004/n/fpga/src/pwm/pwm_generator.vhd
parent2cc572c158db009811d9de1d5174d9b7043f4217 (diff)
pwm : Ajout du dernier fichier pour que le pwm marche trop bien de la balle
qui tue et tout... bon, je refait pas tout le speach, il est déjà dans le commentaire pour les servo version 1.2 ou 1.3 :)
Diffstat (limited to '2004/n/fpga/src/pwm/pwm_generator.vhd')
-rw-r--r--2004/n/fpga/src/pwm/pwm_generator.vhd35
1 files changed, 6 insertions, 29 deletions
diff --git a/2004/n/fpga/src/pwm/pwm_generator.vhd b/2004/n/fpga/src/pwm/pwm_generator.vhd
index af73f00..f14c1e3 100644
--- a/2004/n/fpga/src/pwm/pwm_generator.vhd
+++ b/2004/n/fpga/src/pwm/pwm_generator.vhd
@@ -1,31 +1,8 @@
--------------------------------------------------------------------------------
---pwm_generator.vhd
---Eurobot 2004 : APB Team
---Auteur : Fidèle GAFAN
---Registre à décalage affichantles signaux PWM
---
-
--- Les commentaires ci-dessous ne sont plus trop valables.
--- Maintenant, clock = 40MHz
-
-
---REMARQUE(S):changer tccompt,q et valuecompt
--- si CLK#32MHz et/ou qu'on modifie les valeurs de référence de T1
--- et T2
--- Tcmax = 20ms / 1us
--- = 20161 cycles.
-
--- Si DATACOMPT = 0, on veut que T2 vale 0,5ms donc on initialise Q à la
--- valeur Q=0,5ms/1us=505.
-
--- Si DATACOMPT=255,on veut que T2 vale 1,5ms donc on initialise Q à la
--- valeur Q=1,5ms/1us=1515.
-
--- Pour toute autre valeur de DATACOMPT comprise entre les deux
--- précédentes et différentes de ces dernières,on initialise Q avec
--- Q=(0,5ms/1us)+(DATACOMPT*min[((1,5ms-0,5ms)/1us)/(255-1)]
-
-------------------------------------------------rtl de la sortie pwm en fonction de tc
+-- pwm_generator.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Fidèle GAFAN et Pierre-André Galmes
+-- Bloc générant le signal pwm lorsqu'il recoit la valeur
+-- provenant de convert_pwm.
library ieee;
use ieee.std_logic_1164.all;
@@ -33,7 +10,7 @@ use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.nono_const.all;
-use work.pwm_const.all;
+use work.pwm_const.all;
--ENTITE
entity pwm_generator is