summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/pwm/pwm_generator.vhd
diff options
context:
space:
mode:
authorgalmes2004-04-28 22:35:48 +0000
committergalmes2004-04-28 22:35:48 +0000
commit58133a0efc17b7615027d5bbb0c0b67a78ff2536 (patch)
tree705f7a6fbfb9769e43a7f89b92e50738fdac64c7 /2004/n/fpga/src/pwm/pwm_generator.vhd
parentff21d501684c275c5a8f2ae29796de7b2c2c4d92 (diff)
pwm : Il y a tout qui marche comme il faut pour 20kHz et de clk 40MHz.
Diffstat (limited to '2004/n/fpga/src/pwm/pwm_generator.vhd')
-rw-r--r--2004/n/fpga/src/pwm/pwm_generator.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/2004/n/fpga/src/pwm/pwm_generator.vhd b/2004/n/fpga/src/pwm/pwm_generator.vhd
index f14c1e3..7b8f012 100644
--- a/2004/n/fpga/src/pwm/pwm_generator.vhd
+++ b/2004/n/fpga/src/pwm/pwm_generator.vhd
@@ -16,7 +16,7 @@ use work.pwm_const.all;
entity pwm_generator is
port (
rst : in std_logic;
- clk : in std_logic;
+ clk : in std_logic; -- clk 200ns
pwm_in : in T_DOUBLE_OCTET;
pwm_out : out std_logic
);
@@ -40,7 +40,7 @@ begin
pwm_out <= '1';
else
pwm_out <= '0';
- if (compt = PWM_NB_CYCLE_20MS) then
+ if (compt = PWM_NB_CYCLE_PERIODE) then
compt <= x"0000";
reg <= pwm_in;
end if;