summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/pwm/convert_pwm.vhd
diff options
context:
space:
mode:
authorgalmes2004-04-20 22:21:47 +0000
committergalmes2004-04-20 22:21:47 +0000
commit3fc6bd6301cb0a78ab8d0546c38135a86c70cb5b (patch)
tree7d03a3185597a158cebd9fcf8c6ac729292efbd9 /2004/n/fpga/src/pwm/convert_pwm.vhd
parent98f187e8b1807115354c94e58e89ae643ea4de2e (diff)
rapport.tex : ajout de plein de sources de pwm.
pwm : sources = mise en forme du commentaire. doc = rectification de grosses anneries.
Diffstat (limited to '2004/n/fpga/src/pwm/convert_pwm.vhd')
-rw-r--r--2004/n/fpga/src/pwm/convert_pwm.vhd29
1 files changed, 11 insertions, 18 deletions
diff --git a/2004/n/fpga/src/pwm/convert_pwm.vhd b/2004/n/fpga/src/pwm/convert_pwm.vhd
index a3ab92e..c043111 100644
--- a/2004/n/fpga/src/pwm/convert_pwm.vhd
+++ b/2004/n/fpga/src/pwm/convert_pwm.vhd
@@ -1,21 +1,14 @@
--------------------------------------------------------------------------------
---convert_pwm.vhd
---Eurobot 2004 : APB Team
---Auteur : Fidèle GAFAN
---Module générateur des PWM
+-- convert_pwm.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Fidèle GAFAN et Pierre-André Galmes
+
+-- Principe :
--
---REMARQUE(S):changer tccompt,q et data_out
--- si CLK#32MHz et/ou qu'on modifie les valeurs de référence de T1
--- et T2
--- Tcmax=20ms/1us=20161cycles.
---*Si DATACOMPT=0,on veut que T2 vale 0,5ms donc on initialise Q à la valeur
---Q=0,5ms/1us=PWM_VALUE_MIN.
---*Si DATACOMPT=255,on veut que T2 vale 1,5ms donc on initialise Q à la valeur
---Q=1,5ms/1us=PWM_VALUE_MAX.
---*Pour toute autre valeur de DATACOMPT comprise entre les deux précédentes et
---différentes de ces dernières,on initialise Q avec
---Q=(0,5ms/1us)+(DATACOMPT*min[((1,5ms-0,5ms)/1us)/(255-0)]
--------------------------------------------------------------------------------
+-- Converti la valeur comprise dans l'intervalle [0 - 255]
+-- et transmise par le -- PC en une valeur comprise dans
+-- l'interval suivant :
+-- [PWM_VALUE_MIN - (PWM_VALUE_MIN + data_in * -- PWM_INC_INT]
+
--LIBRARY
library ieee;
use ieee.std_logic_1164.all;
@@ -29,7 +22,7 @@ use work.pwm_const.all;
entity convert_pwm is
port (
data_in : in T_OCTET;
- data_out : out T_DOUBLE_OCTET --duree pdt laql la sortie
+ data_out : out T_DOUBLE_OCTET
);
end entity;