summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/interrupt/bch_conserv.vhd
diff options
context:
space:
mode:
authorgalmes2004-03-03 20:20:53 +0000
committergalmes2004-03-03 20:20:53 +0000
commit3f0458c3087881ee7e3a07f0838a692c93b0919f (patch)
tree42985a9350b14b95adc86614f6a6a4bf72f94e8f /2004/n/fpga/src/interrupt/bch_conserv.vhd
parentdc5116c2d6624d1607eeef67fab5d53722dc012e (diff)
Modification et ajout de fichiers pour la GPIO et la gestion des
interruptions.
Diffstat (limited to '2004/n/fpga/src/interrupt/bch_conserv.vhd')
-rw-r--r--2004/n/fpga/src/interrupt/bch_conserv.vhd20
1 files changed, 10 insertions, 10 deletions
diff --git a/2004/n/fpga/src/interrupt/bch_conserv.vhd b/2004/n/fpga/src/interrupt/bch_conserv.vhd
index 6fac989..e99bf5e 100644
--- a/2004/n/fpga/src/interrupt/bch_conserv.vhd
+++ b/2004/n/fpga/src/interrupt/bch_conserv.vhd
@@ -1,7 +1,7 @@
--- bch_bascule.vhd
+-- bch_conserv.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-André Galmes
--- Test de bascule.
+-- Test de conserv.
library ieee;
use ieee.std_logic_1164.all;
@@ -12,12 +12,12 @@ use work.isa_const.all;
use work.nono_const.all;
-entity bch_bascule is
-end bch_bascule;
+entity bch_conserv is
+end bch_conserv;
-architecture sim1 of bch_bascule is
+architecture sim1 of bch_conserv is
- component bascule
+ component conserv
port (
clk : in std_logic;
rst : in std_logic;
@@ -35,7 +35,7 @@ architecture sim1 of bch_bascule is
signal it_detected : std_logic;
begin
- U1 : bascule port map (
+ U1 : conserv port map (
clk => clk,
rst => rst,
data_in => data_in,
@@ -55,9 +55,9 @@ begin
--x"03" after 5*CK_PERIOD;
end sim1;
-configuration cf1_bch_bascule of bch_bascule is
+configuration cf1_bch_conserv of bch_conserv is
for sim1
- for all : bascule use entity work.bascule(RTL); end for;
+ for all : conserv use entity work.conserv(RTL); end for;
end for;
-end cf1_bch_bascule;
+end cf1_bch_conserv;