summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/fpga/fpga.npl
diff options
context:
space:
mode:
authorprot2004-04-17 10:29:32 +0000
committerprot2004-04-17 10:29:32 +0000
commit8b3bc0049450eb44b2c2c461bec3c3f3801742f8 (patch)
tree0224e41fb08b0dd27726e3af64d4da198ae2cc5a /2004/n/fpga/src/fpga/fpga.npl
parent726812225fd35cbe508f2a23293f0781fd0d9d39 (diff)
Intgration du tx dans le fpga
Le behav marche, mais pas en post-translate
Diffstat (limited to '2004/n/fpga/src/fpga/fpga.npl')
-rw-r--r--2004/n/fpga/src/fpga/fpga.npl9
1 files changed, 9 insertions, 0 deletions
diff --git a/2004/n/fpga/src/fpga/fpga.npl b/2004/n/fpga/src/fpga/fpga.npl
index 47b8714..6bc4e79 100644
--- a/2004/n/fpga/src/fpga/fpga.npl
+++ b/2004/n/fpga/src/fpga/fpga.npl
@@ -33,7 +33,16 @@ SOURCE ..\decodisa\decodisa.vhd
STIMULUS ..\decodisa\bch_decodisa.vhd
STIMULUS fpga-test.vhd
SOURCE ..\modele\nono_const.vhd
+STIMULUS ..\portserie\portserie\bch_txmit.vhd
+STIMULUS ..\portserie\portserie\bch_txserie.vhd
+SOURCE ..\portserie\portserie\txserie.vhd
+SOURCE ..\portserie\uart\txmit.vhd
[STATUS-ALL]
decodisa.ngcFile=WARNINGS,1080659193
+fpga.ngcFile=WARNINGS,1082194051
+fpga.ngdFile=WARNINGS,1082194062
+txmit.ngdFile=WARNINGS,1082195280
+txserie.ngcFile=WARNINGS,1082194924
+txserie.ngdFile=WARNINGS,1082194930
[STRATEGY-LIST]
Normal=True