summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/decodisa/bch_decodisa.vhd
diff options
context:
space:
mode:
authorprot2004-04-20 23:46:41 +0000
committerprot2004-04-20 23:46:41 +0000
commit3e57117bfd426a9f99c64e0d35497277696e2641 (patch)
tree6374c0e0fbf06ec9a353d325c3c6cce97b56593c /2004/n/fpga/src/decodisa/bch_decodisa.vhd
parenta28b85532ff678f3df0908d7f27df4b8260489b4 (diff)
.
Diffstat (limited to '2004/n/fpga/src/decodisa/bch_decodisa.vhd')
-rw-r--r--2004/n/fpga/src/decodisa/bch_decodisa.vhd10
1 files changed, 7 insertions, 3 deletions
diff --git a/2004/n/fpga/src/decodisa/bch_decodisa.vhd b/2004/n/fpga/src/decodisa/bch_decodisa.vhd
index 5457481..91a6bc9 100644
--- a/2004/n/fpga/src/decodisa/bch_decodisa.vhd
+++ b/2004/n/fpga/src/decodisa/bch_decodisa.vhd
@@ -32,6 +32,8 @@ ARCHITECTURE behavior OF decodisa_bch IS
SIGNAL adr_bus : std_logic_vector(23 downto 0):=(others => '0');
SIGNAL AEN : std_logic:='0';
+ SIGNAL IORosc : std_logic:='0';
+ SIGNAL IOWosc : std_logic:='0';
SIGNAL IOR : std_logic:='0';
SIGNAL IOW : std_logic:='0';
SIGNAL cs : std_logic_vector(255 downto 0);
@@ -51,9 +53,11 @@ BEGIN
);
adr_bus <= conv_std_logic_vector(unsigned(adr_bus) + 1 , 24) after 120 ns;
-aen<= not aen after 1500 ns;
-ior<= not ior after 70 ns;
-iow<= not iow after 50 ns;
+aen<= not aen after 13000 ns;
+iorosc<= not iorosc after 7000 ns;
+iowosc<= not iowosc after 5000 ns;
+ior<=not(iorosc and not aen);
+iow<=not(iowosc and not aen);
END;