From e55a9e387560924a157d60b29a3aa49300b9b456 Mon Sep 17 00:00:00 2001 From: Karl Palsson Date: Mon, 22 Oct 2012 22:37:44 +0000 Subject: Missing EXTI ports and flag numbers for F4 (Also missing for forthcoming L1) --- include/libopencm3/stm32/exti.h | 3 +++ 1 file changed, 3 insertions(+) (limited to 'include/libopencm3/stm32/exti.h') diff --git a/include/libopencm3/stm32/exti.h b/include/libopencm3/stm32/exti.h index 7645825..48065b9 100644 --- a/include/libopencm3/stm32/exti.h +++ b/include/libopencm3/stm32/exti.h @@ -53,6 +53,9 @@ #define EXTI17 (1 << 17) #define EXTI18 (1 << 18) #define EXTI19 (1 << 19) +#define EXTI20 (1 << 20) +#define EXTI21 (1 << 21) +#define EXTI22 (1 << 22) /* Trigger types */ typedef enum trigger_e { -- cgit v1.2.3