summaryrefslogtreecommitdiffhomepage
path: root/digital/asserv/src/hdlcounter/input_latch.v
blob: 5eb059d4a7c40ccc90790caea154f87b5b2515fb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
// input_latch.v - Input latch to protect from input change near clock edge.
// hdlcounter - Incremental encoder counter on programmable logic. {{{
//
// Copyright (C) 2007 Nicolas Schodet
//
// Robot APB Team 2008.
//        Web: http://apbteam.org/
//      Email: team AT apbteam DOT org
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
// 
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
//
// }}}
`timescale 1ns / 1ps

// Input should be latched at clock rising edge in one and only one flip-flop.
// If this latch is not done, two flip-flops connected to the input might
// receive a different value if the input switch near the clock rising edge.

module input_latch(clk, rst, q, ql);
    input clk;
    input rst;
    input q;
    output ql;

    reg ql;

    always @(posedge clk or negedge rst) begin
	if (!rst)
	    ql <= 0;
	else
	    ql <= q;
    end

endmodule