From 0706f033f46e6f398fcc689970812a4dd0384d57 Mon Sep 17 00:00:00 2001 From: Nicolas Schodet Date: Thu, 29 Apr 2010 23:03:08 +0200 Subject: digital/mimot/src/cpld/xilinx: add ISE project, refs #123 --- digital/mimot/src/cpld/xilinx/mimot.ise | Bin 0 -> 186830 bytes digital/mimot/src/cpld/xilinx/mimot.ucf | 40 ++++++++++++++++++++++++++++++++ 2 files changed, 40 insertions(+) create mode 100644 digital/mimot/src/cpld/xilinx/mimot.ise create mode 100644 digital/mimot/src/cpld/xilinx/mimot.ucf (limited to 'digital/mimot/src/cpld') diff --git a/digital/mimot/src/cpld/xilinx/mimot.ise b/digital/mimot/src/cpld/xilinx/mimot.ise new file mode 100644 index 00000000..e04d9cd3 Binary files /dev/null and b/digital/mimot/src/cpld/xilinx/mimot.ise differ diff --git a/digital/mimot/src/cpld/xilinx/mimot.ucf b/digital/mimot/src/cpld/xilinx/mimot.ucf new file mode 100644 index 00000000..f9abb02c --- /dev/null +++ b/digital/mimot/src/cpld/xilinx/mimot.ucf @@ -0,0 +1,40 @@ +NET "clk" TNM_NET = "clk"; +TIMESPEC "TS_clk" = PERIOD "clk" 16 MHz HIGH 50 %; +OFFSET = IN 20 ns BEFORE "clk"; +OFFSET = OUT 20 ns AFTER "clk"; +OFFSET = IN 26 ns BEFORE "ale" LOW; + +NET "clk" LOC = "P43" | BUFG = CLK; +NET "rst" LOC = "P33"; +NET "ale" LOC = "P44"; +NET "rd" LOC = "P36"; +NET "ad<0>" LOC = "P5"; +NET "ad<1>" LOC = "P6"; +NET "ad<2>" LOC = "P7"; +NET "ad<3>" LOC = "P8"; +NET "ad<4>" LOC = "P3"; +NET "ad<5>" LOC = "P2"; +NET "ad<6>" LOC = "P1"; +NET "ad<7>" LOC = "P42"; +NET "q0<0>" LOC = "P28"; +NET "q0<1>" LOC = "P27"; +NET "q1<0>" LOC = "P31"; +NET "q1<1>" LOC = "P30"; +NET "i0" LOC = "P29"; +NET "i1" LOC = "P32"; +NET "dir0" LOC = "P39"; +NET "brk0" LOC = "P12"; +NET "pwm0" LOC = "P37"; +NET "fs0" LOC = "P21"; +NET "en0" LOC = "P22"; +NET "in0a" LOC = "P20"; +NET "in0b" LOC = "P23"; +NET "dir1" LOC = "P40"; +NET "brk1" LOC = "P13"; +NET "pwm1" LOC = "P38"; +NET "fs1" LOC = "P16"; +NET "en1" LOC = "P18"; +NET "in1a" LOC = "P14"; +NET "in1b" LOC = "P19"; +NET "led" LOC = "P34"; +NET "x7" LOC = "P41"; -- cgit v1.2.3