From 5a55fceb77b1e63b795dc139ec5491b3189e0263 Mon Sep 17 00:00:00 2001 From: Nicolas Schodet Date: Sun, 2 May 2010 10:08:17 +0200 Subject: digital/io/src: fix slave selection, fix r1063 --- digital/io/src/twi_master.c | 2 ++ 1 file changed, 2 insertions(+) (limited to 'digital/io/src') diff --git a/digital/io/src/twi_master.c b/digital/io/src/twi_master.c index 94947808..3b7541f3 100644 --- a/digital/io/src/twi_master.c +++ b/digital/io/src/twi_master.c @@ -192,6 +192,8 @@ twi_master_get_buffer (uint8_t slave) assert (twi_master.pending_nb < TWI_MASTER_PENDING_MAX); struct twi_master_command_t *c = &twi_master.pending[TWI_MASTER_PENDING_TAIL]; + /* Store slave. */ + c->slave = slave; /* Skip CRC and sequence number. */ return &c->command[2]; } -- cgit v1.2.3