From 583f00e0b8efe2832f63efb478a51d3ad35e92ed Mon Sep 17 00:00:00 2001 From: Nicolas Schodet Date: Sun, 7 Oct 2007 22:16:03 +0200 Subject: Included SI2E avr modules. Well, this need more works... --- digital/avr/modules/uart/uart.txt | 26 ++++++++++++++++++++++++++ 1 file changed, 26 insertions(+) create mode 100644 digital/avr/modules/uart/uart.txt (limited to 'digital/avr/modules/uart/uart.txt') diff --git a/digital/avr/modules/uart/uart.txt b/digital/avr/modules/uart/uart.txt new file mode 100644 index 00000000..b6f1129f --- /dev/null +++ b/digital/avr/modules/uart/uart.txt @@ -0,0 +1,26 @@ +*Title: Module AVR UART +*Author: Ni + +* Utilisation + +Rien de plus simple. Appeler la fonction |uart0_init| au démarrage, puis +|uart0_putc| pour envoyer un caractère, |uart0_getc| pour en recevoir. La +fonction |uart0_poll| permet de connaître à l'avance si des caractère sont +disponibles. + +En cas d'erreur de réception, la fonction |uart0_getc| renvois 0xff. Ce n'est +pas forcément adapté à une transmission binaire car on ne peut pas distinguer +un 0xff légitime d'une erreur de transmission. + +On peut configurer deux uarts, dans ce cas les fonctions sont en double. + +Comme pour tous les modules, copier la partie concernant l'uart depuis +|avrconfig.h|. + +Dans le cas de la compilation en host, un pseudo-terminal est ouvert pour les +communications, un lien vers le pseudo-terminal est crée en |uart0.pts|. Dans +le futur, on pourra peut-être configurer ce fichier par la ligne de commande. + +* Doc + +*File: uart.exd -- cgit v1.2.3