From eca9c3cfeb1e44e927b43e186a755e2bc95e3e15 Mon Sep 17 00:00:00 2001 From: Nicolas Schodet Date: Wed, 1 Aug 2007 09:56:08 +0200 Subject: Added xilinx project for CPLD synthesis. Project fits in a XC9572. --- .../asserv/src/hdlcounter/xilinx/counter_top.ucf | 26 +++++++++++++++++++++ .../asserv/src/hdlcounter/xilinx/hdlcounter.ise | Bin 0 -> 165519 bytes 2 files changed, 26 insertions(+) create mode 100644 digital/asserv/src/hdlcounter/xilinx/counter_top.ucf create mode 100644 digital/asserv/src/hdlcounter/xilinx/hdlcounter.ise diff --git a/digital/asserv/src/hdlcounter/xilinx/counter_top.ucf b/digital/asserv/src/hdlcounter/xilinx/counter_top.ucf new file mode 100644 index 00000000..fe801a47 --- /dev/null +++ b/digital/asserv/src/hdlcounter/xilinx/counter_top.ucf @@ -0,0 +1,26 @@ +NET "clk" TNM_NET = "clk"; +TIMESPEC "TS_clk" = PERIOD "clk" 16 MHz HIGH 50 %; +OFFSET = IN 20 ns BEFORE "clk" ; +OFFSET = OUT 20 ns AFTER "clk" ; + +NET "clk" LOC = "P5" | BUFG = CLK; +NET "count<0>" LOC = "P28"; +NET "count<1>" LOC = "P29"; +NET "count<2>" LOC = "P33"; +NET "count<3>" LOC = "P34"; +NET "count<4>" LOC = "P35"; +NET "count<5>" LOC = "P36"; +NET "count<6>" LOC = "P37"; +NET "count<7>" LOC = "P38"; +NET "oe" LOC = "P42"; +NET "q0<0>" LOC = "P3"; +NET "q0<1>" LOC = "P2"; +NET "q1<0>" LOC = "P9"; +NET "q1<1>" LOC = "P8"; +NET "q2<0>" LOC = "P12"; +NET "q2<1>" LOC = "P11"; +NET "q3<0>" LOC = "P14"; +NET "q3<1>" LOC = "P13"; +NET "rst" LOC = "P39"; +NET "sel<0>" LOC = "P26"; +NET "sel<1>" LOC = "P27"; diff --git a/digital/asserv/src/hdlcounter/xilinx/hdlcounter.ise b/digital/asserv/src/hdlcounter/xilinx/hdlcounter.ise new file mode 100644 index 00000000..65cf1c60 Binary files /dev/null and b/digital/asserv/src/hdlcounter/xilinx/hdlcounter.ise differ -- cgit v1.2.3