summaryrefslogtreecommitdiffhomepage
path: root/digital/asserv
AgeCommit message (Collapse)Author
2007-10-07Added counter decoder AVR implementation.Nicolas Schodet
2007-10-03Use AVR external memory bus.Nicolas Schodet
2007-09-17Added longer trajectory.Nicolas Schodet
2007-09-17Added curve trajectory.Nicolas Schodet
2007-09-17Added real trajectories (distance and angle).Nicolas Schodet
2007-09-13Added encoders model.Nicolas Schodet
2007-08-06Added encoder computations to choose between different decoding solutions.Nicolas Schodet
2007-08-06Added motor models.Nicolas Schodet
2007-08-01Added encoder documentation.Nicolas Schodet
2007-08-01Added xilinx project for CPLD synthesis.Nicolas Schodet
Project fits in a XC9572.
2007-08-01Fixed switch operand sizes in quad_decoder_div4.Nicolas Schodet
Added an output latch, now output will not change when oe is one.
2007-06-30Added hdlcounter for CPLD.Nicolas Schodet
Verilog source files and test cases.