summaryrefslogtreecommitdiffhomepage
path: root/digital/asserv/src/hdlcounter
diff options
context:
space:
mode:
Diffstat (limited to 'digital/asserv/src/hdlcounter')
-rw-r--r--digital/asserv/src/hdlcounter/counter_dummy_test.v5
-rw-r--r--digital/asserv/src/hdlcounter/counter_top.v5
-rw-r--r--digital/asserv/src/hdlcounter/test_counter_top.v5
-rw-r--r--digital/asserv/src/hdlcounter/xilinx/counter_top.ucf10
4 files changed, 22 insertions, 3 deletions
diff --git a/digital/asserv/src/hdlcounter/counter_dummy_test.v b/digital/asserv/src/hdlcounter/counter_dummy_test.v
index 55a3f531..bbd9a0b3 100644
--- a/digital/asserv/src/hdlcounter/counter_dummy_test.v
+++ b/digital/asserv/src/hdlcounter/counter_dummy_test.v
@@ -31,13 +31,16 @@
// This comes handy when no encoder is available to test communication with
// the main processor.
-module counter_top(clk, rst, q0, q1, q2, q3, ale, rd, wr, ad);
+module counter_top(clk, rst, q0, q1, q2, q3, ale, rd, wr, ad, i0, i1, ioa,
+ ioc);
parameter size = 8;
input clk;
input rst;
input [1:0] q0, q1, q2, q3;
input ale, rd, wr;
inout [size-1:0] ad;
+ input i0, i1;
+ input [3:0] ioa, ioc;
reg [size-1:0] count0, count1, count2, count3;
diff --git a/digital/asserv/src/hdlcounter/counter_top.v b/digital/asserv/src/hdlcounter/counter_top.v
index b761f378..ebf9f332 100644
--- a/digital/asserv/src/hdlcounter/counter_top.v
+++ b/digital/asserv/src/hdlcounter/counter_top.v
@@ -24,13 +24,16 @@
// }}}
`timescale 1ns / 1ps
-module counter_top(clk, rst, q0, q1, q2, q3, ale, rd, wr, ad);
+module counter_top(clk, rst, q0, q1, q2, q3, ale, rd, wr, ad, i0, i1, ioa,
+ ioc);
parameter size = 8;
input clk;
input rst;
input [1:0] q0, q1, q2, q3;
input ale, rd, wr;
inout [size-1:0] ad;
+ input i0, i1;
+ input [3:0] ioa, ioc;
wire [1:0] qf0, qf1, qf2, qf3;
wire [size-1:0] count0, count1, count2, count3;
diff --git a/digital/asserv/src/hdlcounter/test_counter_top.v b/digital/asserv/src/hdlcounter/test_counter_top.v
index 3cbed2e8..14d67acf 100644
--- a/digital/asserv/src/hdlcounter/test_counter_top.v
+++ b/digital/asserv/src/hdlcounter/test_counter_top.v
@@ -34,6 +34,8 @@ module test_counter_top();
reg [1:0] sel;
wire [7:0] ad;
wire wr = 1;
+ wire i0 = 0, i1 = 0;
+ wire [3:0] ioa = 0, ioc = 0;
`include "common.v"
@@ -53,7 +55,8 @@ module test_counter_top();
// Instantiation.
assign ad = aord ? { 6'b0, sel } : 8'bz;
- counter_top uut (clk, rst, q[0], q[1], q[2], q[3], ale, rd, wr, ad);
+ counter_top uut (clk, rst, q[0], q[1], q[2], q[3], ale, rd, wr, ad, i0,
+ i1, ioa, ioc);
// The count variable is the true encoder position, multiplied by 32,
// which is more than one encoder minimum period with a noise filter of
diff --git a/digital/asserv/src/hdlcounter/xilinx/counter_top.ucf b/digital/asserv/src/hdlcounter/xilinx/counter_top.ucf
index 95da0f70..839f68ac 100644
--- a/digital/asserv/src/hdlcounter/xilinx/counter_top.ucf
+++ b/digital/asserv/src/hdlcounter/xilinx/counter_top.ucf
@@ -25,3 +25,13 @@ NET "q2<0>" LOC = "P19";
NET "q2<1>" LOC = "P20";
NET "q3<0>" LOC = "P29";
NET "q3<1>" LOC = "P28";
+NET "i0" LOC = "P22";
+NET "i1" LOC = "P18";
+NET "ioa<0>" LOC = "P4";
+NET "ioa<1>" LOC = "P3";
+NET "ioa<2>" LOC = "P2";
+NET "ioa<3>" LOC = "P1";
+NET "ioc<0>" LOC = "P14";
+NET "ioc<1>" LOC = "P13";
+NET "ioc<2>" LOC = "P12";
+NET "ioc<3>" LOC = "P11";