summaryrefslogtreecommitdiffhomepage
path: root/digital/asserv/src/asserv/pwm_mp.avr.c
diff options
context:
space:
mode:
Diffstat (limited to 'digital/asserv/src/asserv/pwm_mp.avr.c')
-rw-r--r--digital/asserv/src/asserv/pwm_mp.avr.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/digital/asserv/src/asserv/pwm_mp.avr.c b/digital/asserv/src/asserv/pwm_mp.avr.c
index 0bb766c2..4ad7bd4a 100644
--- a/digital/asserv/src/asserv/pwm_mp.avr.c
+++ b/digital/asserv/src/asserv/pwm_mp.avr.c
@@ -33,7 +33,7 @@
/** Assign PWM outputs. */
#define PWM1 pwm_left
#define PWM2 pwm_right
-#undef PWM3
+#define PWM3 pwm_aux0
#undef PWM4
#include "pwm_config.h"