From 9173f80359ffb891780ba4e1b820049510ce1259 Mon Sep 17 00:00:00 2001 From: Nicolas Schodet Date: Wed, 8 Jun 2016 13:59:06 +0200 Subject: ucoo/hal/sdram: work around STM32F429 bug, enable FIFO --- ucoo/hal/sdram/sdram.stm32f4.cc | 1 + 1 file changed, 1 insertion(+) (limited to 'ucoo') diff --git a/ucoo/hal/sdram/sdram.stm32f4.cc b/ucoo/hal/sdram/sdram.stm32f4.cc index 6bd8ba7..07baf54 100644 --- a/ucoo/hal/sdram/sdram.stm32f4.cc +++ b/ucoo/hal/sdram/sdram.stm32f4.cc @@ -65,6 +65,7 @@ Sdram::enable () assert (params_.col_bits >= 8 && params_.col_bits <= 11); uint32_t sdcr = FMC_SDCR_RPIPE_NONE + | FMC_SDCR_RBURST | (clock_div == 2 ? FMC_SDCR_SDCLK_2HCLK : FMC_SDCR_SDCLK_3HCLK) | (params_.cas << FMC_SDCR_CAS_SHIFT) | (params_.banks == 2 ? FMC_SDCR_NB2 : FMC_SDCR_NB4) -- cgit v1.2.3